[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: vhdl and gschem



From: John Griessen <john@xxxxxxxxxxxxxx>
Subject: Re: gEDA-user: vhdl and gschem
Date: Sun, 18 Feb 2007 18:54:46 -0600
Message-ID: <45D8F556.7020607@xxxxxxxxxxxxxx>

> al davis wrote:
>   For manual entry, the
> > Verilog format is clear, compact, and regular. .. far superior 
> > to the Spice format.  The next real release of gnucap will use 
> > Verilog as the default netlist language, and read Spice files 
> > through a plug-in.
> 
> Thanks for that decision Al,
> 
> I've always liked the now orientedness of verilog/iverilog.  It's a natural for 
> text definition of a network -- of wires or busses.  It's a language about 
> what's-connected-now.

I on the other hand would have preferred VHDL. There is many reasons for it.

Cheers,
Magnus


_______________________________________________
geda-user mailing list
geda-user@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user