[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

gEDA-user: Waveform Compare for LXT



I find myself in need of a waveform compare that works for very
large LXT files. The simulation run I'm trying to compare is too
long for VCD files to be practical (diff chokes) and I know there
are differences I don't want. I need to find the time and signal
for the first difference.
--
Steve Williams                "The woods are lovely, dark and deep.
steve at icarus.com           But I have promises to keep,
http://www.icarus.com         and lines to code before I sleep,
http://www.picturel.com       And lines to code before I sleep."