[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]
gEDA-user: Trying to figure out VCDs with Icarus and GTKWave
- To: geda-user@geda.seul.org
- Subject: gEDA-user: Trying to figure out VCDs with Icarus and GTKWave
- From: Joshua Boyd <jdboyd@jdboyd.net>
- Date: Fri, 23 Jul 2004 18:38:43 -0400
- Delivered-to: archiver@seul.org
- Delivered-to: geda-user-outgoing@seul.org
- Delivered-to: geda-user@seul.org
- Delivery-date: Fri, 23 Jul 2004 18:52:02 -0400
- Reply-to: geda-user@seul.org
- Sender: owner-geda-user@seul.org
- User-agent: Mutt/1.4i
When I compile and execute the following file:
module main;
reg [3:0] a;
reg [3:0] b;
initial
begin
a = 0;
b = 0;
while (1)
begin
b = a;
a = a + 1;
#10;
end
end
initial
begin
$dumpfile("file.vcd"); // Dump output file.
$dumpvars(1, a, b);
#1000;
$finish;
end
endmodule
It creates file.vcd, which is 3339 bytes.
When I open file.vcd in gtkwave (version 1.3.24), in the little status
area in the upper left it says:
VCD loaded successfully.
[2] facilities found.
[200] regions found.
However, in the signals pain, it should time, but it doesn't list either
signal a or b. I've tried several different arrangements, like
switching the order of the $dump statements in the file, or doing
$dumpvars; instead of $dumpvars(1, a, b);, but nothing I do seems to
result in the vcd file showing signals in gtkwave. I figure this has
got to be something stupid, but I'm stuck.