[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: Trying to figure out VCDs with Icarus and GTKWave



Joshua Boyd wrote:

	$dumpfile("file.vcd"); // Dump output file.
	$dumpvars(1, a, b);
I use dumpvar with 2 args, the second being the level in the hierarchy to start dumping. So in this case: $dumpvars(1,main);

However, in the signals pain, it should time, but it doesn't list either
signal a or b.
You add signals to the signals pane using one of the selections from the Search menu: Regexp, Hierarchy, or Tree.

Hope that helps.

--
Gus