[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

gEDA-user: gtkwave



I was learning ghdl and going through an exercise and I generated the
adder.vhd file per instructiions.  When I run gtkwave adder.vhd I get a
fast flash of what may be an interesting screen and that's it.  Did I
miss something when I installed gEDA tarball?  Regards Ian.

In the terminal I get:-

GTKWave Analyzer v3.0.27 (w)1999-2007 BSI

Near byte 31, VCD search table NULL..is this a VCD file?
Near byte 31, Unknown VCD identifier: '-'
Near byte 93, Unknown VCD identifier: '-'
Near byte 98, Unknown VCD identifier: 's'
Near byte 114, Unknown VCD identifier: 'utput,'
Near byte 157, Unknown VCD identifier: ''
Near byte 168, Unknown VCD identifier: 'i'
Near byte 180, Unknown VCD identifier: ''
Near byte 194, Unknown VCD identifier: 'o'
Near byte 215, Unknown VCD identifier: 'nd'
Near byte 253, Unknown VCD identifier: 'f'
Near byte 284, Unknown VCD identifier: '-'
Near byte 361, Unknown VCD identifier: '-'
Near byte 389, Unknown VCD identifier: ''
Near byte 399, Unknown VCD identifier: 'or'
Near byte 406, Unknown VCD identifier: 'or'
Near byte 421, Unknown VCD identifier: '-'
Near byte 512, Unknown VCD identifier: 'tl;'
No symbols in VCD file..is it malformed?  Exiting!
(END) 




_______________________________________________
geda-user mailing list
geda-user@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user