[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: gtkwave



On Tue, 2008-03-11 at 20:25 -0400, Ian Chapman wrote:
> I was learning ghdl and going through an exercise and I generated the
> adder.vhd file per instructiions.  When I run gtkwave adder.vhd I get a
> fast flash of what may be an interesting screen and that's it.  Did I
> miss something when I installed gEDA tarball?  Regards Ian.

adder.vhd is the VHDL definition of your circuit.

You need to simulaate that with ghdl, and pass the output from ghdl into
gtkwave.

Its been a long time since I played wtih ghdl, but vaguely recall that
you have to call it a number of different times with different options.

You end up with a compled test-bench for your circuit, which you can
execute and get VCD output suitable for feeding gtkwave.


Hope this helps, even if I don't know ghdl enough to be of further
specific assistance.

Best wishes,

-- 
Peter Clifton

Electrical Engineering Division,
Engineering Department,
University of Cambridge,
9, JJ Thomson Avenue,
Cambridge
CB3 0FA

Tel: +44 (0)7729 980173 - (No signal in the lab!)



_______________________________________________
geda-user mailing list
geda-user@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user