[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: Icarus Verilog: specify path for $readmemh?



Hi,

You could try using a relative path,  that is:

Replace "Romfile.txt" with "../sim/Romfile.txt"

This might get you past the problem, at the expense of forcing the directory structure to always have the file in the 'sim' directory.  I think the Xilinx tools can deal with the slashes. 


-----Original Message-----
From: Günter Dannoritzer <dannoritzer@xxxxxx>

Date: Fri, 21 Mar 2008 12:40:43 
To:gEDA user mailing list <geda-user@xxxxxxxxxxxxxx>
Subject: gEDA-user: Icarus Verilog: specify path for $readmemh?


Hi,

I am using the system task $readmemh to init some ROM. Now my question
is, can I specify for the simulation with Icarus somewhere the path to
the file I am using with $readmemh?

Here is my dilemma. My folder structure is as follows:


 + rtl/
 + tb/
 + sim/
 + syn/

In rtl/ and tb/ I have my RTL implementation and in tb/ the test
benches. In the sim/ folder I have a Makefile that does the compilation
and simulation with Icarus Verilog. Now the $readmemh call is in some
code in the rtl/ folder. The way I solved the path issue is that I moved
the file that is read with the $readmemh call in the sim/ folder.

Now the conflict happens with synthesis. In the syn/ folder I have a
Makefile that calls synthesis with Xilinx webpack/ISE. It expects the
file that is read in with the $readmemh call is in the rtl/ folder.

I guess I could copy the ROM file in both locations or specify some
macros and have two different $readmemh calls.

Anyhow, is there a way to tell Icarus that the ROM file to be read with
$readmemh is in another location than the folder the simulator
(compiler) is called from? I did not find a command line switch that
would apply to that issue.

Thanks for the help.

Guenter



_______________________________________________
geda-user mailing list
geda-user@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user



_______________________________________________
geda-user mailing list
geda-user@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user