[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: [f-cpu] Yet Another Upload



hi !

Michael Riepe wrote:

On Sun, Apr 20, 2003 at 02:30:20AM +0200, Yann Guidon wrote:
[...]

I am more worried about the correct work of the general scripts and what they can do now.

Which scripts do you mean?

the ones that builds all the files from m4, verify the available tools,
build the units and run their tests....

Oh, you mean the scripts I don't use ;)

grrrrrr !!! :-)

I'd really like to get rid of m4.

huh, really ? i find this very handy, though ....

In particular, I don't like the fact
that we have to run m4 every time we modify the configuration.

how often do you change the configuration ?

I prefer to change the VHDL sources directly. C headers can be generated from
VHDL -- all we need is a procedure that takes all the definitions and
prints them in C format. Since VHDL has text i/o capabilities, that
shouldn't be too hard to do. (Note that it would also work the other
way round -- edit C and generate VHDL -- but since VHDL is our primary
source, I prefer to generate the C stuff.) Of course we must distribute
pre-built C headers, in case someone has no VHDL tools and wants to
install only the software. But that's not a problem either.

i'm not very happy with this solution : it will obfuscate the sources too much !!!

First, not everybody will want to use it because it uses VHDL, and "SW people"
who simply want to run an emulator won't want to fiddle with it.

Second, with the M4 solution, the files are available in source form, very similar to the target form.
Using VHDL to generate the files will make the original form very very oscure, full
of
write ("blahblagblah", somevariable, "blablah");
so i think that m4 is not a bad trade-off.

Of course, if you find a better solution, i'd be interested to see it :-)
like, huh, you want to reimplement m4 with VHDL ? :-P

For the build system, a mix of Makefiles and supporting shell scripts
is probably the best choice. In the end, I want to be able to just
unpack the files, type `configure' and `make', and let the automated
build system deal with the boring details.

that's my goal, the reason why i have undertaken such a work.

A newbie is normally afraid by the quantity of files with their curious
organisation and formats, so my scripts help to guide him through the whole thing
and shows how they work.

[vanilla tests]

yup but you could try to do this at least once, one night or week,
just to be sure ....

I'm currently doing that, but it's too slow to be done on a regular
basis (unless you have a server that runs 24/7).

i didn't say regurarly, but from time to time, just to be sure that everything is still alright ....

[...]

but you know that Riviera and NCSIM are one order of magnitude faster, right ?

But I don't have them, and I probably never will.

hmmm ....
and what about GHDL ? :-) "it's free, too" :-P

[...]

We better do things step by step.  First, we'll have to decide which
instructions we're going to use in FC0,

well, the units are here, so let's simply use them to their fullest.

That's what I wanted to hear :)

what else did you expect ? :-P

and which of them will be
mandatory for later versions (this applies to all units, not only
the adder).

hmmm that's another problem....


Second, all instructions should be properly documented in
the manual.

ouch :-)

Well, it must be done, whether we like it or not.

i know ....
</sigh>

VHDL code, manual and emulators are badly desynced.

obviously.
maybe because different persons do different things, right ?

I'll take care of the emulators,
and I think it would be wise to review the manual pages as well --
at least those corresponding to the EUs I wrote myself. I just need a
copy of the current sources.

i believe the latest versions are available easily from Cédric's site.

Third, I'll have to update the assembler and the emulator.
Finally, someone can update the machine description for gcc.

As you probably know, i have returned back to plain old electronics to get
some pocket money from time to time. Now, i have almost all tools to make
decent PCBs in small series at decent prices. When the first FC0s will come out of fundry, we'll be able to use nice development kits :-)

Will they run Linux? ;)

except slashdotters, who really cares ? :-P

frankly, i have recently started to master certain techniques and electronic technologies,
so if we ever get to the fundry, the outcome will be really interesting and the
PCBs will have interesting features...

But there is still too much work to be done on the SW side; so we'll have to "wait a bit" ...

Hmm... we have a gcc port, an assembler, a linker and two emulators.

do they all work together ? are they all correctly updated and maintained ?
are they easy to install and use ?....

That's all SW people need in the first place.  I'm currently concentrating
on the HW side again -- since many people can do SW development but
only few seem to be able to write VHDL code, I won't waste my time doing
software development (of course I'll maintain my software, but VHDL has
higher priority now).

i'll maybe come back to hardcore F-CPU dev in many months.
In the meantime, i am doing uC and DSP.

YG

*************************************************************
To unsubscribe, send an e-mail to majordomo@seul.org with
unsubscribe f-cpu       in the body. http://f-cpu.seul.org/