[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [f-cpu] m4 won.



hello,

Michael Riepe wrote:
> On Mon, Aug 20, 2001 at 02:24:43AM +0200, Yann Guidon wrote:
> 
> > m4 is finally the best choice,
> > i am currently updating my files locally.
> > i will then have to integrate Michael's
> > data and i will post a "release candidate"
> > that will be suitable for the CVS.
> >
> > It is going to take some time before i am
> > ready so i am waiting for an update from Michael.
> 
> Update for what, the instruction encoder?
yes, or for whatever you have/want.
since you sent me a "preliminary" package, i believe
that a new version exists.

> BTW: I created the first F-CPU object files yesterday :)
and what does it make/compute ? :-)

> > The good news is that it is not limited to C
> > and VHDL, anybody can adapt a few line is a
> > langage-specific file and make a verilog port,
> > for example, without rewriting all the
> > definition files. The existing files did
> > not require big changes but there are tens
> > of different stufss in several directories,
> > it takes a while to check everything. Before
> > putting the files on CVS, i have to check that all
> > the released files are correctly under GPL (not mixing
> > my own copyright on QDCPOC with the team's work),
> > and i'll ask Michael to craft a nice Makefile.
> 
> No problem.

ok, i try to finish some stuff tonight.
i have to finish the uniformisation of the opcode
definitions (between C and VHDL), test the scripts
and verify that the existing SW still works.
it will be a complete GPL stuff and i highly recommend
to reuse what i have written. I have focused on
RTL simulation and synthesis with the availability
of several options, but it is also very useful for
SW like assembler and compiler.

read you soon,
WHYGEE
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
*************************************************************
To unsubscribe, send an e-mail to majordomo@seul.org with
unsubscribe f-cpu       in the body. http://f-cpu.seul.org/