[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

gEDA-user: bidirectional delay in verilog?



I want to simulate the propogation delay through the fpga IOBs and the
traces on the board.  However, some of the lines are bidirectional
(specifically, the data lines).  It's easy enough (I'm assuming) to
assign a delay on a unidirectional line, but what about bidirectional
lines?


_______________________________________________
geda-user mailing list
geda-user@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user