[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: [icarus] task automatic causes assertion



I think there is a bug report related to this in the icarus verilog
bugs tracker already. "automatic" tasks are not supported yes, and
there is a patch that I recently applied that reports this as a
proper error.

Günter Dannoritzer wrote:
> Hi,
> 
> I tried compiling some Verilog code with a 'task automatic' statement 
> using Icarus Verilog 0.9.devel s20080429 and got the following assertion:
> 
>  >iverilog -o auto2.vvp auto.v
> auto.v:16: syntax error
> auto.v:3: assert: pform.cc:359: failed assertion lexical_scope == 
> pform_cur_module
> sh: line 1:  8518 Done                    /usr/lib64/ivl/ivlpp -L 
> -F/tmp/ivrlg2784ffa93 -f/tmp/ivrlg784ffa93 -p/tmp/ivrli784ffa93
>        8519 Aborted                 | /usr/lib64/ivl/ivl 
> -C/tmp/ivrlh784ffa93 -C/usr/lib64/ivl/vvp.conf -- -



-- 
Steve Williams                "The woods are lovely, dark and deep.
steve at icarus.com           But I have promises to keep,
http://www.icarus.com         and lines to code before I sleep,
http://www.picturel.com       And lines to code before I sleep."


_______________________________________________
geda-user mailing list
geda-user@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user