[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: [icarus] task automatic causes assertion



Stephen Williams wrote:
> I think there is a bug report related to this in the icarus verilog
> bugs tracker already. "automatic" tasks are not supported yes, and
> there is a patch that I recently applied that reports this as a
> proper error.

Are there any plans to add automatic tasks or is that too complicated or 
can their use be easily avoided?

Guenter



_______________________________________________
geda-user mailing list
geda-user@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user