[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: [iverilog] running the git source of Icarus Verilog



On Sat, Aug 16, 2008 at 12:55 PM, Günter Dannoritzer <dannoritzer@xxxxxx> wrote:
> So with the latest development snapshot it gave me an assertion, but
> with the git version a segmentation fault.
>

I saw this behavior as well, so I don't think it is your setup.  Something must
have changed in git between the snapshot and your bug report that prevented
the assertion from firing and it went on to segfault.

Note that the bug has been fixed in git now (although for some reason I had to
make clean then re make for it to pick up the new parsing code, maybe there
is a bug in the Makefiles?)

Jared


_______________________________________________
geda-user mailing list
geda-user@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user