[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: Re: Icarus Verilog: Need for --enable-vvp32 in x86_64 ./configure call?



Stephen Williams wrote:
> 
> The way you handle that is to create a sub-package that depends on
> Icarus Verilog. Users can install your main package without requiring
> Icarus Verilog, but if they install the icarus verilog interface, they
> will naturally require the Icarus Verilog package.
> 
> You only need one myhdl.spec file that creates multiple packages. It's
> a fairly common thing to do.
> 

Thanks for the hint, I will look into that.

> 
> Werner Hoch is already using the OPensuse system to build the stable
> releases of Icarus Verilog (0.8 branch).
> 
> <http://iverilog.wikia.com/wiki/Installation_Guide#SuSE_Linux.2FopenSUSE>
> 

Yes, I saw that. Actually I based my spec file on his and yours.

I fixed the build error for 10.2, now I don't know how much this spec
file will be good for your repository, as it has an openSuse 10.2
dependent BuildRequires in it.

One change done by Werner Hoch is to add build requires depending on
x86_64. I added another BuildRequires for openSuse 10.2:

---------

%ifarch x86_64
BuildRequires: bzip2-32bit, glibc-devel-32bit, glibc-32bit, zlib-devel-32bit
BuildRequires: termcap-32bit, readline-32bit, readline-devel-32bit

%if 0%{?suse_version} == 1020
BuildRequires: gcc-32bit, libstdc++41-devel-32bit
%endif

%endif

----------

That are the essential changes to your spec file.

Do you want me to add that as a ticket?


Guenter


_______________________________________________
geda-user mailing list
geda-user@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user