[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: gEDA-user: icarus verilog testsuite for iverilog_vpi



Thank you so much for help. After rebuild, all examples were passed.

Could I ask few more help?
1. I like to use tf_exprinfo routine in my c++ routine. I didn't see
this routine in veriuser.h, if I declare extern tf_exprinfo routine in
this file, would it work?

2. Is there any way I can use makefile for compilation for c++ files and
v files to specify dependency and only updated module compilation?

Best Regards,

jean  
On Wed, 2003-01-22 at 16:53, Stephen Williams wrote:
> 
> jean.kim@cross-network.com said:
> > Actually I was more interested in using vpi modules, I will try to
> > compile it from source. 
> 
> There is a hello_vpi example is /usr/share/doc/verilog-0.7 as well.
> If this works, then the linking problems are a matter for the gcc 3.2
> runtime, and you should have no problems just using that.
> 
> However, if you wish to compile it from source, the easiest way to
> do that is to get the .src.rpm file and rebuild like so:
> 
>    rpm --rebuild verilog-0.7.src.rpm
> 
> The output will be a new i386.rpm file compiled on your system,
> with your compilers.
> -- 
> Steve Williams                "The woods are lovely, dark and deep.
> steve at icarus.com           But I have promises to keep,
> steve at picturel.com         and lines to code before I sleep,
> http://www.picturel.com       And lines to code before I sleep."
> 
> 
>