[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

gEDA-user: conditional assign gets compile error



I am using iverilog v0_6_1.

When it encounters the following conditional assign.

  assign DR_DATA = DR_READ ? 64'dz
                           i                              : DR_DATA_REG;

I get the following error:

PARSING INPUT ...
151: unmatched character (')
drv_iv.v:151: parse error
drv_iv.v:151: error: syntax error in continuous assignment
make: *** [test_iver] Error 2

This works on vcs but not here anyone know why.

Lonnie