[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: Icarus Verilog going git.



On 6/15/07, Stephen Williams <steve@xxxxxxxxxx> wrote:
> Well then, I just started into the git instructions in the
> "Installation" section of the Icarus Verilog documentation at
> iverilog.wikia.com. If you have something to add, please do. I'm
> still flailing a bit:-/

I'd be happy to help.  Although I'm a bit of a newbie myself, maybe a
fresh pair of eyes will help isolate problems better.  And, besides, I
might as well package gEDA for gobolinux too (or at least try to).

-- 
Samuel A. Falvo II


_______________________________________________
geda-user mailing list
geda-user@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user