[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: vhdl and gschem



Hello there,
I successfully created a vhdl file from
http://tux.u-strasbg.fr/~chit/cours_vhdl/halfadder.sch
http://tux.u-strasbg.fr/~chit/cours_vhdl/output.net.

However, since my schematic includes some and2 and or2, the output.net
includes the respective components, but if I compile the vhdl file
directly, it will fails since it lacks the and2 and or2 entities.
Unfortunately for such a simple schematic.

Is there a method to tell gnetlist to include appropriate package name
for the and2 and or2 components so that one shouldn't right his own
and2 and or2 vhdl file but uses the standard vhdl code?

Chitlesh
--
http://clunixchit.blogspot.com


_______________________________________________ geda-user mailing list geda-user@xxxxxxxxxxxxxx http://www.seul.org/cgi-bin/mailman/listinfo/geda-user