[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: vhdl and gschem



From: "Chitlesh GOORAH" <chitlesh@xxxxxxxxxxxxxxxxx>
Subject: Re: gEDA-user: vhdl and gschem
Date: Fri, 16 Feb 2007 23:36:22 +0100
Message-ID: <13dbfe4f0702161436x6b6d6c11xa45894ec1fb4ac14@xxxxxxxxxxxxxx>

> Hello there,
> I successfully created a vhdl file from
> http://tux.u-strasbg.fr/~chit/cours_vhdl/halfadder.sch
> http://tux.u-strasbg.fr/~chit/cours_vhdl/output.net.
> 
> However, since my schematic includes some and2 and or2, the output.net
> includes the respective components, but if I compile the vhdl file
> directly, it will fails since it lacks the and2 and or2 entities.
> Unfortunately for such a simple schematic.
> 
> Is there a method to tell gnetlist to include appropriate package name
> for the and2 and or2 components so that one shouldn't right his own
> and2 and or2 vhdl file but uses the standard vhdl code?

No, and it shouldn't really. You should rather include those desciptions from
other schematics, given models from libraries or type them yourself.
gschem/gnetlist allows you to interconnect things. You could even use it in a
hierarchial fashion if you wish. Just include the VHDL files into your
compilation and you will be fine.

Cheers,
Magnus


_______________________________________________
geda-user mailing list
geda-user@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user