[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

Re: gEDA-user: Which are the biggest looking gEDA warts?



John Griessen wrote:

wart -- Is there a way to set up iverilog with gtkwave? Why is ver 2.0 seemingly dead? and the latest version debian package is 1.3.81? And gtkwave seems to have two home page sites saying they have the most recent version -- one 1.3.24 and the other 3.0.22?

I have one word for that. ok, maybe 2. "mmmmmm dinotrace".

Seriously, I don't know why I don't hear more about dinotrace here and less about gtkwave. Not to bash gtkwave, but dinotrace has been around for a long time and has worked fairly well everytime I needed a vcd viewer to go with some verilog sims.

I think icarus is a shining star for gEDA.

-Dan






_______________________________________________ geda-user mailing list geda-user@xxxxxxxxxxxxxx http://www.seul.org/cgi-bin/mailman/listinfo/geda-user