[Author Prev][Author Next][Thread Prev][Thread Next][Author Index][Thread Index]

gEDA-user: Re: Which are the biggest looking gEDA warts?



Dan McMahill wrote:
> John Griessen wrote:
> 
>> wart -- Is there a way to set up iverilog with gtkwave?  Why is ver
>> 2.0 seemingly dead? and the latest version debian package is 1.3.81? 
>> And gtkwave seems to have two home page sites saying they have the
>> most recent version -- one 1.3.24 and the other 3.0.22?
> 
> I have one word for that.  ok, maybe 2. "mmmmmm dinotrace".
> 
> Seriously, I don't know why I don't hear more about dinotrace here and
> less about gtkwave.  Not to bash gtkwave, but dinotrace has been around
> for a long time and has worked fairly well everytime I needed a vcd
> viewer to go with some verilog sims.

Because GTKwave is the most talked about? It's also neatly ported
and packaged for many platforms. Also, when you get more advanced,
there is the LXT2 format that Icarus Verilog and GTKWave support
that is a compressed waveform dump format.

But instructions for using dinotrace with Icarus Verilog are
certainly welcome: <http://iverilog.wikia.com> I recommend starting
with a note in the FAQ page that would point to a dinotrace page.

For that matter, GTKWave instructions can stand to be better on
the iverilog.wikia.com documentation.

-- 
Steve Williams                "The woods are lovely, dark and deep.
steve at icarus.com           But I have promises to keep,
http://www.icarus.com         and lines to code before I sleep,
http://www.picturel.com       And lines to code before I sleep."



_______________________________________________
geda-user mailing list
geda-user@xxxxxxxxxxxxxx
http://www.seul.org/cgi-bin/mailman/listinfo/geda-user